본문 바로가기

분류 전체보기

(142)
[Vivado] 04. FndController, Adder 8bit [1] 14bit 의 입력을 받은 FndController 는 0 ~ 9999 까지의 수를 표현했다. 이번에는 FndController 와 Adder 8 bit 를 활용해서 8 bit 가산기를 설계하려고 한다. [Vivado] 03. Adder_8bit Half Adder. module half_adder ( input i_a, input i_b, output o_sum, output o_carry ); assign o_sum = i_a ^ i_b; assign o_carry = i_a & i_b; endmodule Full Adder 1 bit. module full_adder_1bit ( input i_a, input i_b, input i_cin, output o_sum, output o_carry..
[Vivado] 03. Adder 8bit Half Adder. module half_adder ( input i_a, input i_b, output o_sum, output o_carry ); assign o_sum = i_a ^ i_b; assign o_carry = i_a & i_b; endmodule Full Adder 1 bit. module full_adder_1bit ( input i_a, input i_b, input i_cin, output o_sum, output o_carry ); wire w_sum1, w_carry1, w_carry2; half_adder U_HA_0 ( .i_a(i_a), .i_b(i_b), .o_sum(w_sum1), .o_carry(w_carry1) ); half_adder U_HA_1 ( .i_a(..
[Vivado] 02. FndController, Counter, DigitSplitter, clkDivider [1] Counter 2x4 Decoder 의 출력 ([3:0] o_digitSel) 을 반복적으로 Count 하는 Counter 를 설계하려고 한다. 4 개의 7-Segment 를 동작하기 위해 Counter 의 bit 는 2-bit 이다. clk 가 들어올 때마다 + 1 씩 Count 가 쌓이게 되고, reset 입력 시, 0 으로 초기화 된다. Counter 의 출력 (o_count) 은 2x4 Decoder 의 입력 (i_x) 으로 들어간다. [2] DigitSplitter, mux 4x1 0 ~ 9999 사이의 값을 입력 ([13:0] i_number, 0 ~ 16384) 으로 받을 경우, 각 자릿 수의 [3:0] Digit Number (o_dig_1, o_dig_10, o_dig_100, o..
[Vivado] 01. FndController, 2x4_Decoder [1] 2x4 Decoder 의 [3:0] 출력 : 4 개의 7-Segment LED (o_digitSel) [2] BCD to FND Decoder 의 [7:0] 출력 : 각 7-Segment LED 의 Digit Number (o_fndFont) `timescale 1ns/1ps module FndController ( input [1:0] i_sw_decoder, input [3:0] i_sw_bcd, output [3:0] o_digitSel, output [7:0] o_fndFont ); decoder_2x4 U_decoder_2x4 ( .i_x(i_sw_decoder), .o_y(o_digitSel) ); BCDtoFND_Decoder U_BCDtoFND_Decoder ( .i_bcd(i_sw..
Quartus II Project - adder, mult4x4 1. adder - Build a 16-bit adder using the '+' operator - Practice coding basic module structure Continuous Assignment. - assign sum 2. mult4x4 - Build a 4x4 multiplier block using the '* operator - Synthesis and verify its operation
Quartus II Project - mux4, shifter 1. mux4 - Build a 4-bit 2:1 multiplexer using the if-else statement - Synthesize and verify its operation Following information. a. The multiplexer has two 4-bit data inputs, a select line and a 4-bit output. b. Describe the following behavior : - if mux_sel is 0, then choose mux_in_a for mux_out. - if mux_sel is 1, then choose mux_in_b for mux_out. c. Use the names in the diagram above to name ..
Full Custom IC - 4Bit Subtractor. Schematic. Sign = 1 이면 감산기 동작 Sign = 0 이면 가산기 동작 Symbol. Lay-out. Simulation. PPT.
Full Custom IC - 4Bit Adder.